Mastrovito multiplication algorthm

Calculating Structural Complexity of Galois Fields Multipliers Based on Elementary Converters

Calculating structural complexity of Galois fields multiplier based on elementary converters is analyzed in paper. Structural complexity is determined by combing VHDL- SHmodels into a VHDL-SH model. Mastrovito multiplier and classic Galois fields multiplier were chosen for calculation results analysis. The order of the Galois field, which is considered in the article is ≤ 409.